head 1.12; access; symbols pkgsrc-2023Q4:1.12.0.4 pkgsrc-2023Q4-base:1.12 pkgsrc-2023Q3:1.12.0.2 pkgsrc-2023Q3-base:1.12 pkgsrc-2023Q2:1.11.0.2 pkgsrc-2023Q2-base:1.11 pkgsrc-2023Q1:1.10.0.22 pkgsrc-2023Q1-base:1.10 pkgsrc-2022Q4:1.10.0.20 pkgsrc-2022Q4-base:1.10 pkgsrc-2022Q3:1.10.0.18 pkgsrc-2022Q3-base:1.10 pkgsrc-2022Q2:1.10.0.16 pkgsrc-2022Q2-base:1.10 pkgsrc-2022Q1:1.10.0.14 pkgsrc-2022Q1-base:1.10 pkgsrc-2021Q4:1.10.0.12 pkgsrc-2021Q4-base:1.10 pkgsrc-2021Q3:1.10.0.10 pkgsrc-2021Q3-base:1.10 pkgsrc-2021Q2:1.10.0.8 pkgsrc-2021Q2-base:1.10 pkgsrc-2021Q1:1.10.0.6 pkgsrc-2021Q1-base:1.10 pkgsrc-2020Q4:1.10.0.4 pkgsrc-2020Q4-base:1.10 pkgsrc-2020Q3:1.10.0.2 pkgsrc-2020Q3-base:1.10 pkgsrc-2020Q2:1.9.0.12 pkgsrc-2020Q2-base:1.9 pkgsrc-2020Q1:1.9.0.8 pkgsrc-2020Q1-base:1.9 pkgsrc-2019Q4:1.9.0.10 pkgsrc-2019Q4-base:1.9 pkgsrc-2019Q3:1.9.0.6 pkgsrc-2019Q3-base:1.9 pkgsrc-2019Q2:1.9.0.4 pkgsrc-2019Q2-base:1.9 pkgsrc-2019Q1:1.9.0.2 pkgsrc-2019Q1-base:1.9 pkgsrc-2018Q4:1.5.0.14 pkgsrc-2018Q4-base:1.5 pkgsrc-2018Q3:1.5.0.12 pkgsrc-2018Q3-base:1.5 pkgsrc-2018Q2:1.5.0.10 pkgsrc-2018Q2-base:1.5 pkgsrc-2018Q1:1.5.0.8 pkgsrc-2018Q1-base:1.5 pkgsrc-2017Q4:1.5.0.6 pkgsrc-2017Q4-base:1.5 pkgsrc-2017Q3:1.5.0.4 pkgsrc-2017Q3-base:1.5 pkgsrc-2017Q2:1.4.0.10 pkgsrc-2017Q2-base:1.4 pkgsrc-2017Q1:1.4.0.8 pkgsrc-2017Q1-base:1.4 pkgsrc-2016Q4:1.4.0.6 pkgsrc-2016Q4-base:1.4 pkgsrc-2016Q3:1.4.0.4 pkgsrc-2016Q3-base:1.4 pkgsrc-2016Q2:1.4.0.2 pkgsrc-2016Q2-base:1.4 pkgsrc-2016Q1:1.3.0.6 pkgsrc-2016Q1-base:1.3 pkgsrc-2015Q4:1.3.0.4 pkgsrc-2015Q4-base:1.3 pkgsrc-2015Q3:1.3.0.2 pkgsrc-2015Q3-base:1.3 pkgsrc-2015Q2:1.2.0.4 pkgsrc-2015Q2-base:1.2 pkgsrc-2015Q1:1.2.0.2 pkgsrc-2015Q1-base:1.2 pkgsrc-2014Q4:1.1.0.4 pkgsrc-2014Q4-base:1.1 pkgsrc-2014Q3:1.1.0.2 pkgsrc-2014Q3-base:1.1; locks; strict; comment @# @; 1.12 date 2023.08.06.19.58.54; author adam; state Exp; branches; next 1.11; commitid i3eLqcS3uOfhqLzE; 1.11 date 2023.06.06.12.41.37; author riastradh; state Exp; branches; next 1.10; commitid xhspr6Z8JLQOWSrE; 1.10 date 2020.08.01.03.58.54; author tnn; state Exp; branches; next 1.9; commitid RY3FD1ko12l3tjiC; 1.9 date 2019.03.22.17.58.27; author adam; state Exp; branches; next 1.8; commitid U7RU5pJEMmvm7ogB; 1.8 date 2019.03.15.00.49.01; author mef; state Exp; branches; next 1.7; commitid LE9osOr5A0kpEofB; 1.7 date 2019.03.14.21.17.51; author mef; state Exp; branches; next 1.6; commitid TOTmqMLvE9uXtnfB; 1.6 date 2019.03.03.10.36.14; author mef; state Exp; branches; next 1.5; commitid p7GH4qzP604VgUdB; 1.5 date 2017.07.24.07.30.07; author dbj; state Exp; branches; next 1.4; commitid 8hdMvy8jUS5l7s0A; 1.4 date 2016.06.08.07.26.59; author wiz; state Exp; branches; next 1.3; commitid v9ySDJ9z6lgJjD9z; 1.3 date 2015.08.14.06.19.13; author mef; state Exp; branches; next 1.2; commitid vnFH7JfcMzeWxcxy; 1.2 date 2015.01.31.00.58.06; author mef; state Exp; branches; next 1.1; commitid JBCeWajGDmgQ278y; 1.1 date 2014.09.08.07.12.05; author mef; state Exp; branches; next ; commitid pLSw8gEgCCI5MvPx; desc @@ 1.12 log @uhd: switch to dynamic PLIST for doxygen files, and cmake/build.mk @ text @# $NetBSD: options.mk,v 1.11 2023/06/06 12:41:37 riastradh Exp $ PKG_OPTIONS_VAR= PKG_OPTIONS.uhd PKG_SUPPORTED_OPTIONS= doxygen .include "../../mk/bsd.options.mk" PLIST_SRC= ${PLIST_SRC_DFLT} .if !empty(PKG_OPTIONS:Mdoxygen) TOOL_DEPENDS+= doxygen>=1.8.15:../../devel/doxygen CMAKE_ARGS+= -DENABLE_MANUAL=ON CMAKE_ARGS+= -DENABLE_DOXYGEN=ON # different versions of Doxygen generate different files PLIST_SRC+= ${WRKDIR}/PLIST.DOCS .PHONY: doxygen-plist post-install: doxygen-plist doxygen-plist: ${RM} -f ${WRKDIR}/PLIST.DOCS cd ${DESTDIR}${PREFIX} && \ ${FIND} share/doc/uhd/doxygen -type f -print > ${WRKDIR}/PLIST.DOCS .else CMAKE_ARGS+= -DENABLE_MANUAL=OFF CMAKE_ARGS+= -DENABLE_DOXYGEN=OFF .endif @ 1.11 log @Mass-change BUILD_DEPENDS to TOOL_DEPENDS outside mk/. Almost all uses, if not all of them, are wrong, according to the semantics of BUILD_DEPENDS (packages built for target available for use _by_ tools at build-time) and TOOL_DEPEPNDS (packages built for host available for use _as_ tools at build-time). No change to BUILD_DEPENDS as used correctly inside buildlink3. As proposed on tech-pkg: https://mail-index.netbsd.org/tech-pkg/2023/06/03/msg027632.html @ text @d1 1 a1 1 # $NetBSD: options.mk,v 1.10 2020/08/01 03:58:54 tnn Exp $ a11 1 PLIST_SRC+= ${PKGDIR}/PLIST.doxygen d14 8 @ 1.10 log @uhd: Linux installation fixes @ text @d1 1 a1 1 # $NetBSD: options.mk,v 1.9 2019/03/22 17:58:27 adam Exp $ d11 1 a11 1 BUILD_DEPENDS+= doxygen>=1.8.15:../../devel/doxygen @ 1.9 log @uhd: updated to 3.13.1.0 003.013.001.000 Changelog E320: Fix front panel GPIO readback E320: Fix master_clock_rate setting E320: Print extra ouptut for ref_clock BIST E320: Fix gps_locked type E320: Fix return value of get_fpga_type() N3xx: Enable setting clock and time sources at runtime N3xx: Add ref_clock BIST N3xx: Improve set_time_source() and set_clock_source() N3xx: Add exception for init failure N3xx: Remove HA, XA images packages N3xx: Change init() procedure to reduce configuration time N310: Add frequency bounds N310: Fix RX antenna mapping N310: Add log messages when re-initializing dboards N310: Add skip_rfic argument to reduce time of BIST N310: Add initialization of TX bandwidth E310: Fix initialization of antenna and frequency values E310: Type-cast fix for Boost X300: Improve firmware compat error message X300: Updated niusrprio driver X300: Add recovery for duplicate IP addresses in EEPROM X300: Prevent duplicate MAC and IP addresses from being programmed X300: New mode to configure master clock rate X300: Implement RFNoC get antenna functions B2xx: Fix values of MASK_GPIO_SHDN_SW and GPIO_AUX_PWR_ON in firmware B2xx: Revert changes to DSP core to fix scaling factor adjustment B2xx: Restore asynchronous reset of AD936x (fixes LIBUSB_TRANSFER_OVERFLOW and unexpected sid errors) TwinRX: enable ch1 lo amps if ch2 is using an external lo source TwinRX: Correctly initialize antenna mapping on X300 TwinRX: Revise ADF5356 frac2 register calculation to prevent drifting spurs TwinRX: Fix initialization TwinRX: Tuning improvements TwinRX: Enable phase resync on ADF535x TwinRX: Make routing to LO1 and LO2 mutually exclusive BasicRX/LFRX: Fix real mode in rx_frontend_core_3000 UHD: Define UHD_API as empty string when building static lib UHD: Changed to 'all_matching' endpoint resolution for udp_simple transport UHD: Add support for NEON SIMD UHD: Fix usb_dummy_impl compilation in MSVC UHD: Reconcile time_spec operators with boost concepts UHD: Fix rounding in ddc/duc rate calculation UHD: Increase MPMD RPC timeout when calling set_time_source() UHD: Fix RX streamer SOB and EOB handling UHD: Add UHD_SAFE_CALL to block_ctrl_base destructor UHD: Change SOVERSION to ABI string and VERSION to full UHD version UHD: Update cmake style to use lower case commands UHD: Add SOURCE_DATE_EPOCH UHD: Improve logic for UHD_IMAGES_DIR UHD: Add RUNTIME_PYTHON_EXECUTABLE UHD: Fix return value of get_rolloff() for filters UHD: Properly register devtest UHD: Fix log statement for Port number on RFNoC block UHD: Use "MATCHES" instead of "STREQUAL" for "Clang" UHD: Fix GPGGA string formatting for gpsd Device3: Set default block control response SIDs Device3: Fix block control flushing RFNoC: Improved flushing mechanism in noc_shell and dma_fifo RFNoC: Install missing dma_fifo_block_ctrl header RFNoC: Replace some [] with .at() in radio_ctrl_impl RFNoC: Fix graph traversal MPM: Add Git hash, version to device info MPM: Reset the RPC server upon reload MPM: TDC: Update PDAC BIST and flatness test to use latest APIs MPM: Fix handling of 0-valued dt-compat MPM: Fix GPSD sensor names for N3xx and E320 MPM: Add args to update_ref_clock_freq to properly support dynamic setting of clock and time references MPM: Fix Pylint warnings MPM: Identify sysfs gpios more generically MPM: Add lock_guard() function MPM: Factor E320 and N3xx BIST code into common module MPM: Add gpsd error handling MPM: Add FPGA git hash to device info MPMD: Increase RPC timeout during readng mb sensor MPMD: Improve error message for compat number mismatches Python API: Enable Python API on Windows Python API: Change .dll to .pyd for Win32 Python API: Fixing Boost.Python initializer visibility Python API: Fix duration of benchmark rate Python API: Add missing constructors of time_spec_t Python API: Expose streamer timeouts Python API: Tighten the scope of releasing the GIL Python API: Add device_addr_t Python API: Populate the tune_result_t binding Utils: Many fixes and enhancements for uhd_images_downloader Utils: Update query_gpsdo_sensors to work on E310 Examples: Removed some legacy code patterns from RFNoC examples Examples: Fix channel argument for rx_samples_to_file Examples: Fix benchmark_rate MIMO synchronization Examples: Add phase alignment example Examples: Fix RX antenna not being applied in txrx_loopback_to_file Test: Add more env vars, make Py3k compatible Test: Add multi_usrp_test.py to devtest Test: Clean up, refactor, and improve devtest Test: Enable rx_samples_to_file in E320 devtest and N3xx devtest Test: Reduce sample rate for E320 1G devtest Test: Add unit test for eeprom_utils Docs: Add clock_source and time_source to n3xx argument list and fix WR clock_source call Docs: Minor tweaks to the Python API manual page Docs: Add E320 test procedures Docs: Added TwinRX page Docs: Fix N210 MIMO Phase Alignment test command Docs: Add E320 information Docs: Improve sections on clock/time references Docs: Add section on X300 motherboard clocking Docs: Add more information on Salt for N3xx and E320 Docs: Adjust E310 functional verification tests Docs: Add documentation on GIL release Debian: Update control files Images: Add N3xx CPLD file to manifest @ text @d1 1 a1 1 # $NetBSD: options.mk,v 1.8 2019/03/15 00:49:01 mef Exp $ d8 1 a8 1 PLIST_SRC= ${PKGDIR}/PLIST @ 1.8 log @(ham/uhd) textproc/py-docutils is necessary only on options.mk @ text @d1 2 a2 2 # $NetBSD: options.mk,v 1.7 2019/03/14 21:17:51 mef Exp $ ### Set options d4 3 a6 1 PKG_SUPPORTED_OPTIONS= doxygen manual d8 1 a8 1 .include "../../mk/bsd.options.mk" d11 4 a14 10 BUILD_DEPENDS+= doxygen>=1.8.15:../../devel/doxygen PLIST_SRC+= ${PKGDIR}/PLIST.doxygen CMAKE_ARGS+= -DENABLE_DOXYGEN:BOOL=ON .else CMAKE_ARGS+= -DENABLE_DOXYGEN:BOOL=OFF .endif .if !empty(PKG_OPTIONS:Mmanual) BUILD_DEPENDS+= ${PYPKGPREFIX}-docutils-[0-9]*:../../textproc/py-docutils PLIST_SRC+= ${PKGDIR}/PLIST.manual d16 2 a17 1 PLIST_SRC+= ${PKGDIR}/PLIST.manual-nogz @ 1.7 log @Fix PLIST issue with the four combinations of SUGGESTED_OPTIONS @ text @d1 1 a1 1 # $NetBSD: options.mk,v 1.6 2019/03/03 10:36:14 mef Exp $ a4 1 PKG_SUGGESTED_OPTIONS= doxygen manual @ 1.6 log @ham/uhd: PLIST* were adjusted for 4 combinations of options, doxygen/manual. Thanks Tobias Nygren for suggestions ( I need double check later). @ text @d1 1 a1 1 # $NetBSD: options.mk,v 1.5 2017/07/24 07:30:07 dbj Exp $ d10 1 a10 1 BUILD_DEPENDS+= doxygen>=1.8.12:../../devel/doxygen @ 1.5 log @fix build without doxygen bump pkgrevision for removing DEPENDS in favor of BUILD_DEPENDS @ text @d1 1 a1 1 # $NetBSD: options.mk,v 1.4 2016/06/08 07:26:59 wiz Exp $ d19 3 a21 1 #PLIST_SRC+= ${PKGDIR}/PLIST.manual @ 1.4 log @Bump doxygen requirement (for changed PLIST) and bump PKGREVISION. @ text @d1 1 a1 1 # $NetBSD: options.mk,v 1.3 2015/08/14 06:19:13 mef Exp $ d10 1 a10 1 DEPENDS+= doxygen>=1.8.11:../../devel/doxygen d12 3 @ 1.3 log @(pkgsrc) - Drop two patches, seems included (upstream) - Update 3.7.2 to 3.8.5 Change Log for Releases ============================== ## 003.008.005 * E3xx: Added support for battery-based E3xx device (E312), get_freq() for network mode, fixed GPS time initialization bug * AD9361-based devices: Fixed frequency readback bug * B200: Fixed DCM issues, better loopback failure handling, fix erroneous warning for custom clock rates * X3x0: Better warnings for clock reate / ref freq * multi_usrp: Added define for GPIO capabilities (enables exposure in GNU Radio) * UHD: sc16->sc16 SSE converter * Manual: Multiple minor updates, FPGA manual improvements, * Build System: Fixed builds on some Windows platforms, removed stray prints ## 003.008.004 * B200: Fixed EEPROM writing bug, updated images for B200 Rev5/6 * E300: GPS antenna power defaults to staying on, GPS time used as default if available * UBX: PDF frequency fix on X300 * USRP2: Bugfix that allows latest UHD to work with USRP2 * Documentation: Many fixes for E300 section, added FPGA manual ## 003.008.003 * UBX: Fixed phase synchronization issues (Related changes: Change X300 daughterboard frequency, increase N210 FIFO depth) * Fixed many compiler warnings * B200: Fixed timing issues, fixed tick rate issue, stabilized operations at high clock rates * X300: Improved phase alignment across devices * CMake: Build fixes * E300: Flow control fix ## 003.008.002 * CMake: Introducing named versions for dev branches, enable static libs, * E300: Docs updates, compat number bump, VCTCXO updates, more status bits for ref locking, fixed serial number length fix, RSSI Sensor * B200: RSSI sensor * AD9361: Better handling of different interpolation rates in FIR, fix for gain value truncation, removed gain value offset * UBX: Added drivers * Manual/Docs: Numerous updates, minimum compiler versions now specified, * Converters: Multiple fixes for sc12 * Examples: Fixes to txrx_loopback_to_file * Path handling vastly improved, made more consistent * Minor UHD fixes ## 003.008.001 * B2x0: Fixed PLL settings, Fixed external ref selection, serialized streamer setup (thread-safety) * X3x0: Fixed flow control issue, improved DAC ctrl + init logic, Fixed I/Q alignment issue * Generation-3 devices: Fixed LED registers * UHD: Improved tuning logic for manual tunes * Tools: Multiple kitchen sink fixes, coloured output * Examples: Multiple bugfixes (multi-channel ops) * Docs/Manual: Multiple fixes, E310 panel images ## 003.008.000 * Added E310 support * B200/B210: Moved AD9361 controls from firmware to host * Added several tools: ZPU dissector, improved CHDR dissector, kitchen sink, B200/B210 USB debugging utility, latency measurement tool. * Reorganized firmware/ directory structure. Refactored some firmware. * Removed FPGA sources, is now in own repository (submoduled). * Cleaned up command line arguments for some tools * Added math namespace, plus a unified float comparison infrastructure * Fixed tuning-related bugs * Moved manual over to Doxygen, also several manual bug fixes and amendments * Added many missing virtual destructors (less build warnings) * Added support for NI-RIO 14.0 * X300 fixes: Not found over PCIe with no eth interfaces * CMake improvements: Now comes with own UHDConfig.cmake and example to build standalone UHD apps, build fixes on Apple, interoperability with GNU Radio * OctoClock fixes and improvements: Ethernet initialization, external ref detection, stability fixes, host driver (UHD can now talk to OctoClock) * Examples: Improved GPIO example, rx_samples_to_file * Bumped minimum Boost version to 1.46 ## 003.007.003 * Fixed examples * Removed compiler warnings * Fixed CBX LO settings (FRAC truncation) * Fixed build issues for out-of-tree tools for some distros * Fixed some logging strings (SBX, GPSDO) * Improved logging (speedups, removed unnecessary cycles) * Added output sync for DAC reference clocks on X300 * Multiple FPGA improvements, as well as upgrade of build env * Added support for B200 vs B210 discovery @ text @d1 1 a1 1 # $NetBSD: options.mk,v 1.2 2015/01/31 00:58:06 mef Exp $ d10 1 a10 1 DEPENDS+= doxygen>=1.8.9.1:../../devel/doxygen @ 1.2 log @Update PLIST and options.mk for doxygen 1.8.9.1. @ text @d1 1 a1 1 # $NetBSD: options.mk,v 1.1 2014/09/08 07:12:05 mef Exp $ d16 1 a16 1 PLIST_SRC+= ${PKGDIR}/PLIST.manual @ 1.1 log @Import uhd-3.7.2rc1 as ham/uhd. [USRP-users] [UHD-3.7.2-rc1] Release Candidate Announcement http://lists.ettus.com/pipermail/usrp-users_lists.ettus.com/2014-July/010219.html UHD is the free & open-source software driver and API for the Universal Software Radio Peripheral (USRP(TM)) SDR platform, created and sold by Ettus Research, LLC. UHD supports all Ettus Research USRP(TM) hardware, including all motherboards and daughterboards, and the combinations thereof. @ text @d1 1 a1 1 # $NetBSD$ d10 1 a10 1 DEPENDS+= doxygen-[0-9]*:../../devel/doxygen @