head 1.16; access; symbols pkgsrc-2023Q4:1.16.0.6 pkgsrc-2023Q4-base:1.16 pkgsrc-2023Q3:1.16.0.4 pkgsrc-2023Q3-base:1.16 pkgsrc-2023Q2:1.16.0.2 pkgsrc-2023Q2-base:1.16 pkgsrc-2023Q1:1.14.0.8 pkgsrc-2023Q1-base:1.14 pkgsrc-2022Q4:1.14.0.6 pkgsrc-2022Q4-base:1.14 pkgsrc-2022Q3:1.14.0.4 pkgsrc-2022Q3-base:1.14 pkgsrc-2022Q2:1.14.0.2 pkgsrc-2022Q2-base:1.14 pkgsrc-2022Q1:1.13.0.2 pkgsrc-2022Q1-base:1.13 pkgsrc-2021Q4:1.11.0.2 pkgsrc-2021Q4-base:1.11 pkgsrc-2021Q3:1.10.0.2 pkgsrc-2021Q3-base:1.10 pkgsrc-2021Q2:1.9.0.2 pkgsrc-2021Q2-base:1.9 pkgsrc-2021Q1:1.8.0.6 pkgsrc-2021Q1-base:1.8 pkgsrc-2020Q4:1.8.0.4 pkgsrc-2020Q4-base:1.8 pkgsrc-2020Q3:1.8.0.2 pkgsrc-2020Q3-base:1.8 pkgsrc-2020Q2:1.7.0.38 pkgsrc-2020Q2-base:1.7 pkgsrc-2020Q1:1.7.0.18 pkgsrc-2020Q1-base:1.7 pkgsrc-2019Q4:1.7.0.40 pkgsrc-2019Q4-base:1.7 pkgsrc-2019Q3:1.7.0.36 pkgsrc-2019Q3-base:1.7 pkgsrc-2019Q2:1.7.0.34 pkgsrc-2019Q2-base:1.7 pkgsrc-2019Q1:1.7.0.32 pkgsrc-2019Q1-base:1.7 pkgsrc-2018Q4:1.7.0.30 pkgsrc-2018Q4-base:1.7 pkgsrc-2018Q3:1.7.0.28 pkgsrc-2018Q3-base:1.7 pkgsrc-2018Q2:1.7.0.26 pkgsrc-2018Q2-base:1.7 pkgsrc-2018Q1:1.7.0.24 pkgsrc-2018Q1-base:1.7 pkgsrc-2017Q4:1.7.0.22 pkgsrc-2017Q4-base:1.7 pkgsrc-2017Q3:1.7.0.20 pkgsrc-2017Q3-base:1.7 pkgsrc-2017Q2:1.7.0.16 pkgsrc-2017Q2-base:1.7 pkgsrc-2017Q1:1.7.0.14 pkgsrc-2017Q1-base:1.7 pkgsrc-2016Q4:1.7.0.12 pkgsrc-2016Q4-base:1.7 pkgsrc-2016Q3:1.7.0.10 pkgsrc-2016Q3-base:1.7 pkgsrc-2016Q2:1.7.0.8 pkgsrc-2016Q2-base:1.7 pkgsrc-2016Q1:1.7.0.6 pkgsrc-2016Q1-base:1.7 pkgsrc-2015Q4:1.7.0.4 pkgsrc-2015Q4-base:1.7 pkgsrc-2015Q3:1.7.0.2 pkgsrc-2015Q3-base:1.7 pkgsrc-2015Q2:1.6.0.6 pkgsrc-2015Q2-base:1.6 pkgsrc-2015Q1:1.6.0.4 pkgsrc-2015Q1-base:1.6 pkgsrc-2014Q4:1.6.0.2 pkgsrc-2014Q4-base:1.6 pkgsrc-2014Q3:1.3.0.34 pkgsrc-2014Q3-base:1.3 pkgsrc-2014Q2:1.3.0.32 pkgsrc-2014Q2-base:1.3 pkgsrc-2014Q1:1.3.0.30 pkgsrc-2014Q1-base:1.3 pkgsrc-2013Q4:1.3.0.28 pkgsrc-2013Q4-base:1.3 pkgsrc-2013Q3:1.3.0.26 pkgsrc-2013Q3-base:1.3 pkgsrc-2013Q2:1.3.0.24 pkgsrc-2013Q2-base:1.3 pkgsrc-2013Q1:1.3.0.22 pkgsrc-2013Q1-base:1.3 pkgsrc-2012Q4:1.3.0.20 pkgsrc-2012Q4-base:1.3 pkgsrc-2012Q3:1.3.0.18 pkgsrc-2012Q3-base:1.3 pkgsrc-2012Q2:1.3.0.16 pkgsrc-2012Q2-base:1.3 pkgsrc-2012Q1:1.3.0.14 pkgsrc-2012Q1-base:1.3 pkgsrc-2011Q4:1.3.0.12 pkgsrc-2011Q4-base:1.3 pkgsrc-2011Q3:1.3.0.10 pkgsrc-2011Q3-base:1.3 pkgsrc-2011Q2:1.3.0.8 pkgsrc-2011Q2-base:1.3 pkgsrc-2011Q1:1.3.0.6 pkgsrc-2011Q1-base:1.3 pkgsrc-2010Q4:1.3.0.4 pkgsrc-2010Q4-base:1.3 pkgsrc-2010Q3:1.3.0.2 pkgsrc-2010Q3-base:1.3 pkgsrc-2010Q2:1.2.0.28 pkgsrc-2010Q2-base:1.2 pkgsrc-2010Q1:1.2.0.26 pkgsrc-2010Q1-base:1.2 pkgsrc-2009Q4:1.2.0.24 pkgsrc-2009Q4-base:1.2 pkgsrc-2009Q3:1.2.0.22 pkgsrc-2009Q3-base:1.2 pkgsrc-2009Q2:1.2.0.20 pkgsrc-2009Q2-base:1.2 pkgsrc-2009Q1:1.2.0.18 pkgsrc-2009Q1-base:1.2 pkgsrc-2008Q4:1.2.0.16 pkgsrc-2008Q4-base:1.2 pkgsrc-2008Q3:1.2.0.14 pkgsrc-2008Q3-base:1.2 cube-native-xorg:1.2.0.12 cube-native-xorg-base:1.2 pkgsrc-2008Q2:1.2.0.10 pkgsrc-2008Q2-base:1.2 cwrapper:1.2.0.8 pkgsrc-2008Q1:1.2.0.6 pkgsrc-2008Q1-base:1.2 pkgsrc-2007Q4:1.2.0.4 pkgsrc-2007Q4-base:1.2 pkgsrc-2007Q3:1.2.0.2 pkgsrc-2007Q3-base:1.2 pkgsrc-2007Q2:1.1.1.1.0.6 pkgsrc-2007Q2-base:1.1.1.1 pkgsrc-2007Q1:1.1.1.1.0.4 pkgsrc-2007Q1-base:1.1.1.1 pkgsrc-2006Q4:1.1.1.1.0.2 pkgsrc-2006Q4-base:1.1.1.1 pkgsrc-base:1.1.1.1 TNF:1.1.1; locks; strict; comment @# @; 1.16 date 2023.04.11.11.20.52; author mef; state Exp; branches; next 1.15; commitid 4bEUM5c1uNKOiGkE; 1.15 date 2023.04.08.02.27.08; author mef; state Exp; branches; next 1.14; commitid 6P7aA0F8HnyBsfkE; 1.14 date 2022.05.26.15.20.10; author mef; state Exp; branches; next 1.13; commitid O4FsWF4gIp2iVzFD; 1.13 date 2022.03.12.23.04.46; author tnn; state Exp; branches; next 1.12; commitid 9ER66v1F6pHKTYvD; 1.12 date 2022.01.16.18.06.27; author tnn; state Exp; branches; next 1.11; commitid 7UTK0SLpJKEv1ToD; 1.11 date 2021.12.11.14.57.51; author tnn; state Exp; branches; next 1.10; commitid vdm849xI6geB8fkD; 1.10 date 2021.06.27.08.09.01; author tnn; state Exp; branches; next 1.9; commitid vP12sgWv6xv3fKYC; 1.9 date 2021.04.07.16.14.09; author tnn; state Exp; branches; next 1.8; commitid 6huWtgckz3SHwnOC; 1.8 date 2020.08.30.21.11.08; author tnn; state Exp; branches; next 1.7; commitid WustfKbycTkpf8mC; 1.7 date 2015.09.01.04.14.55; author mef; state Exp; branches; next 1.6; commitid Jm97asFwlndEhvzy; 1.6 date 2014.10.28.16.59.33; author mef; state Exp; branches; next 1.5; commitid tHzK8yxppHgWoZVx; 1.5 date 2014.10.28.09.07.35; author mef; state Exp; branches; next 1.4; commitid KJ6CIWnnsa59NWVx; 1.4 date 2014.10.13.12.51.10; author mef; state Exp; branches; next 1.3; commitid PUsr7MBz7AWIv2Ux; 1.3 date 2010.09.15.09.38.45; author markd; state Exp; branches; next 1.2; 1.2 date 2007.08.08.03.22.05; author wulf; state Exp; branches; next 1.1; 1.1 date 2006.11.13.17.36.32; author wulf; state Exp; branches 1.1.1.1; next ; 1.1.1.1 date 2006.11.13.17.36.32; author wulf; state Exp; branches; next ; desc @@ 1.16 log @(ham/gnuradio-*) (adhoc) adjust PLIST - blocks_throttle2.block.yml -share/gnuradio/grc/blocks/blocks_throttle2.block.yml @ text @@@comment $NetBSD: PLIST,v 1.15 2023/04/08 02:27:08 mef Exp $ include/gnuradio/video_sdl/api.h include/gnuradio/video_sdl/sink_s.h include/gnuradio/video_sdl/sink_uc.h lib/cmake/gnuradio/gnuradio-video-sdlConfig.cmake lib/cmake/gnuradio/gnuradio-video-sdlTargets-release.cmake lib/cmake/gnuradio/gnuradio-video-sdlTargets.cmake lib/libgnuradio-video-sdl.so lib/libgnuradio-video-sdl.so.${PKGVER_MICRO} lib/libgnuradio-video-sdl.so.${PKGVERSION} lib/pkgconfig/gnuradio-video-sdl.pc ${PYSITELIB}/gnuradio/video_sdl/__init__.py ${PYSITELIB}/gnuradio/video_sdl/__init__.pyc ${PYSITELIB}/gnuradio/video_sdl/__init__.pyo ${PYSITELIB}/gnuradio/video_sdl/video_sdl_python.so share/gnuradio/grc/blocks/video.tree.yml share/gnuradio/grc/blocks/video_sdl_sink.block.yml @ 1.15 log @(ham/gnuradio-video-sdl) +blocks_throttle2.block.yml to PLIST @ text @d1 1 a1 1 @@comment $NetBSD: PLIST,v 1.14 2022/05/26 15:20:10 mef Exp $ a15 1 share/gnuradio/grc/blocks/blocks_throttle2.block.yml @ 1.14 log @(ham/gnuradio-core) Updated 3.10.1.1 to 3.10.2.0 ## [3.10.2.0] - 2022-04-09 ### Changed #### Project Scope - Clayton Smith continues the effort to replace Boost usage with modern C++ equivalents. In a related effort, he has continued the logging modernization started by Marcus M端ller. In his spare time, he has tackled some tricky, intermittent CI failures, some of which turned out to be real bugs. Much of this work is invisible to end users, but is extremely useful in making GNU Radio more reliable and maintainable. Special thanks are due to Clayton for a lot of hard work this cycle. - Use exceptions instead of `exit()` in several places. - Fixed a variety of Python deprecation warnings. - Packager note: `jsonschema` is required for the JSON Config and YAML Config blocks. Those blocks will be disabled if `jsonschema` is not found. #### gnuradio-runtime - Correct size/usage for single-mapped buffers (part of the new Custom Buffers feature). - Correct buffer size allocation. This was actually the single change in v3.10.1.1, which did not get its own CHANGELOG entry. #### GRC - Improve discovery of xterm and related programs. - Save generated hierarchical block code to the block library instead of the directory containing the current GRC flowgraph. - New JSON Config and YAML Config blocks that load configuration variables from files at runtime. Those variables may then be used in block parameters. - Store the GNU Radio version in flowgraph metadata when saving. - Minor change in Python evaluation code to allow `affinity`, `minoutbuf` and `maxoutbuf` to be adjusted via script parameters. #### Build system and packaging - Require C++-17 for `gnuradio-runtime` and code compiled against it (via cmake flags). - Add `pythonschema` to build- and run-time dependencies. #### gr-blocks - Add exponential distribution to Message Strobe Random block's `delay` selection. - Quiet down debug messages in File Sink. - Skip alignment check in File Source when the input file is not seekable (e.g., it is a pipe). #### gr-filter - Fix crash in Rational Resampler logging #### gr-digital - Add generic CRC blocks: CRC Append and CRC Check. #### gr-qtgui - Improve text/background color on Range widget. - Digital Number Control emits message with new, instead of previous, value. - Message Edit Box sends message only when return is pressed, rather than whenever focus is lost. - Vector Sink allows legend to be disabled. - Type error fixes (Python 3.10 is stricter about int casting). #### gr-trellis - Provide Python bindings for PCCC Encoder and Viterbi Combo. #### gr-vocoder - Add C++ generation support to gr-vocoder #### Code generation tools - Support strongly-typed enums in Python bindings @ text @d1 1 a1 1 @@comment $NetBSD: PLIST,v 1.13 2022/03/12 23:04:46 tnn Exp $ d16 1 @ 1.13 log @gnuradio*: update to 3.10.1.1 Bugfix release for the 3.10.x.y release series. @ text @d1 1 a1 1 @@comment $NetBSD$ d9 1 a9 1 lib/libgnuradio-video-sdl.so.3.10.1 @ 1.12 log @gnuradio: update to 3.10.0.0 - gr-pdu: Protocol Data Units library - gr-iio: Linux industrial I/O library (but not packaged in pkgsrc) - Custom Buffers Support - switch from Log4CPP to spdlog @ text @d9 1 a9 1 lib/libgnuradio-video-sdl.so.3.10.0 @ 1.11 log @gnuradio*: update to 3.9.4.0 Minor update bringing C++ code cleanups, bug fixes and some performance improvements. @ text @d9 1 a9 1 lib/libgnuradio-video-sdl.so.3.9.4 @ 1.10 log @gnuradio-*: update to 3.9.2.0 Changed SoapySDR support (gr-soapy) GRC Parameter expressions and/or values can be displayed in blocks on the flowgraph. Previously, only values were displayed. Look for the "Show Parameter ..." toggles under the View menu. Deprecated blocks are shown in a distinct color (orange), and the optional deprecated property has been added to block yaml Dark theme works better, especially for parameter fields Vector length is now correctly applied to all input ports Validation has been improved. Raw types are validated. Port connections are checked by type rather than by item size. Variable names that cause name conflicts in Python code (e.g., with package names) are rejected Bus logic fixes Blocks can no longer be dragged off the screen and lost forever gnuradio-runtime Remove pmt::pmt_* functions, which were not actually implemented. This is technically an API change, but any use of the API would have resulted in a link error. Remove Python bindings for internal buffer functions gr-analog Added an example for PLL Frequency Detector Better names for parameters in PLL GRC blocks gr-blocks Consistent naming for Vector Length parameter in GRC blocks count_bits uses VOLK popcnt for better performance (used for example in the Correlate Access Code block) Rotator block phase increment parameter is controllable via a message, and a tag can be added at the point where the increment is adjusted gr-digital MPSK example updated to use a Linear Equalizer (replacing deprecated block) gr-filter Filter Delay documentation improvement Interpolating FIR filter can generate C++ code gr-dtv VL-SNR bugs fixed (incorrect constants). gr-qtgui Improve autoscaling for vector sinks Fix floating-point resolution problems in several widgets, due to interpretation of PMT doubles as floats gr-uhd Add policy enum to Python bindings for tune_request Additional time spec options on UHD blocks (PC Clock on Next PPS, GPS Time on Next PPS) Fix up code that was generating warnings Fix command handler logic to apply commands from messages to the correct channel gr_filter_design "File/Save" is disabled until the taps have been computed, and the GUI is reset after a save, to make it clearer which data is being saved. Entries are hidden for parameters that do not apply to the selected filter type. Save window type as an integer instead of a Python enum name gr_modtool Improve validation of module and block names Build System and Infrastructure Improved messages related to dependencies MPIR and GMP. Only one of these packages is required, and the previous error messages were confusing. Cleaner builds for Windows and Conda. A number of general cmake improvements have resulted from this work. In-tree packaging files for DEB and RPM, used with Launchpad and COPR Added man pages for GNU Radio tools Test code generation for all in-tree GRC examples In GrPybind.cmake, PYBIND11_INCLUDE_DIR (incorrect) was changed to pybind11_INCLUDE_DIR @ text @d1 1 a1 1 @@comment $NetBSD: PLIST,v 1.9 2021/04/07 16:14:09 tnn Exp $ d9 1 a9 1 lib/libgnuradio-video-sdl.so.3.9.2 @ 1.9 log @gnuradio-*: update to 3.9.1.0 Changed C++14 C11 VOLK now “regular” dependency, not in-tree submodule numpy now also a CMake-checked hard dependency for Python support Exception Handling: throw by value, catch by reference (clang-tidy check) C++11: Emplace in vectors where you can; brings performance boni, but not included in clang-tidy-checks An exception-throwing block will now terminate the flow graph process, configurable through top_block gr-utils cleanup, folder restructuring config version checks installed CMake file will accept “at least this version” now PyBind11 replaces SWIG Full tree conversion from SWIG to Pybind11 bindings Doxygen now uses MathJax, full LaTeX installation no longer required GRC start flowgraph in folder where it resides gnuradio-runtime When calculating offsets in non-integer rate FEC, lround default seed for gr::random now actually as documented time-dependent loggers moved from gr::block to gr::basic_block PMT serialization PMT dicts no longer indistinguishable from pairs PMT symbol hashing no longer suffers under oddball own implementations gr-audio Increased ALSA buffer nperiods gr-blocks add, add_const VOLK’ized, templated this seems to break things in other places, even if it proves to be mathematically identical wavfile infrastructure: libsndfile now dependency gr-digital transcendental block: default to 32 bit float complex, not double Linear equalizer: separate adaptive algorithm, allows for using e.g. trained sequences instead of the classical LMS, CMA DFE: better structure for decision history gr-dtv LDPC encoder: template functions instead of #defined macros LDPC encoder: smaller tables through uint16_t for index tables gr-fec API uint8_t, not char gr-fft FFT blocks/functions templatized gr-filter logging format rational_resampler_base -> rational_resampler gr-uhd Required UHD version bumped to 3.9.7 logging format Deprecated gr-analog sig_source: freq port will be removed in the future gr-audio audio-sink, -source: Windows audio sink/source deprecated, the portaudio sink/source works even better under windows anyway gr-digital In favor of symbol_sync, deprecate: clock_recovery_mm msk_timing_recovery pfb_clock_sync Added C++ Generation all over the place PyBind bindings + generator gnuradio-runtime block_gateway: set_max_output_buffer GR_PREFS_PATH environment variable sensitivity to configure the path to the config file gnuradio-config-info --print-all GRC option to toggle ID visibilities globally Validation check for QT GUI hints Python snippets block header parsing tool block header parsing tool (GSoC 2019) gr_modtool option to convert blacklisted files gr-analog sig_source: cmd port adds support for dicts, setting of frequency, amplitude, offset and phase parameters gr-blocks selector now has control message ports Rotator-based freq shift convenience wrapper Message-to-Variable and vice versa blocks DC Spike removal IQ Swap Complex to interleaved char / short: scaling option Delay block: control message port Phase Shift block with message port wavfile_sink, _source can now deal with a lot of audio formats: uncompressed WAV/AIFF, µ- and A-law compressed audio, OGG/Vorbis, FLAC, even octave files Stream Demux, which demuxes streams according to lengths vector rotator: phase() getter gr-digital OFDM: multiple CP lengths ofdm_equalizer_simpledfe: enable_soft_output Constellation Encoder Constellation: normalization options gr-fec {en,de}code_rs_8, {en,de}code_rs_ccsds: Reed-Solomon en- and decoders gr-fft Windows: Gaussian Flat Top Tukey Window build() call now with default beta gr-filter GRC: File taps loader block Low pass FFT filter convenience wrapper ichar / ishort decimator phase continuity for freq_xlating_fir_filter gr-network gr-network: a whole new networking blocks module! TCP UDP Much better lockup/multithreading support than 3.7-era blks2 gr-qtgui Azimuth/Elevation plot Autocorrelation plot Compass visualization Dial control Gauge: dial, level Distance plot LED-like indicator Message-passing check box Message-passing numeric control Message-passing push button Toggle Button Eye sink Vertical slider gr-uhd Filter API UHD 4.0 support Power Reference API Bidirectional setting messages on both sink, source gr-vocoder Codec2 dev branch support FreeDV: In/output rates can differ FreeDV: text message output gr-zeromq C++ GRC templates Tag filtering for tag-forwarding blocks Removed VOLK is no longer a submodule Sphinx: consolidate into doxygen, or wiki-maintained block list. Python 2 SWIG gru python module gnuradio-runtime circular_file.cc math/common_factor.hpp gr-blocks bin_statistics_f log2_const gr-digital PFB clock sync: set_taps deprecated old OFDM infrastructur ofdm_frame_acquisition ofdm_frame_sink ofdm_insert_preamble ofdm_sync_fixed ofdm_sync_pn ofdm_sync_pnac ofdm_sync_ml ofdm_receiver digital_voice gr-fft malloc_float, _double: rely on VOLK Goertzel: dtor superfluous gr-filter deprecated window function duplicates (use them from gr-fft!) Fixed CMake: Qwt, Log4Cpp detection ctrlport strings unicodified Freedesktop install script was not executed Redundant icons installed Path substitution on Windows was backslash-broken YAML definitions: more than I can count Cross-building: py interpreter at runtime != build time gnuradio-runtime ctrlport: unholy stored reference to stack-allocated object removed Sine table generation for fixed point math gr_unittest: floatAlmostEqual had a lot of false passes due abuse of all() get_tags_in_range for delay < (end-start) Premature tag pruning release flattened flowgraph after stopping, fixes restartability/shutdown problem PMT serialization portability latency issue caused by setting block alias on msg block Windows logging errors ctrlport: Thrift >= 0.13 broke GRC Tab widget ID visibilities A lot of YAML templates Default setting in qtgui chooser restored Boolean parameters no longer switch buttons Nested namespace handling Don’t rely on set ordering in tests configparser import input box color theme on dark themes Search box typing doesn’t inadvertedly interact with the rest of GRC anymore gr_modtool Empty argument lists allowed Boost UTF replaced CppUnit, this needed to be done here, too gr-analog wfm left/right, filters gr-audio portaudio: lock acquisition was improper gr-blocks Throttle now uses monotonic clock Tag debug only saved last work call’s tags File sink flushes on stop gr_read_file_metadata.py used to lose rx_time precision File source big file handling under Windows file_*: fseek errors used to be ignored gr-digital map_bb: thread safety, buffer overflows additive_scrambler: reset was broken Constellation scalefactor wasn’t always initialized long-standing qa_header_payload_demux bug addressed by waiting for both RX and TX, not only either false triggers in correlate_access_code gr-dtv rate mismatch in ATSC flowgraphs gr-fec async_decoder Heap corruption cc_encoder: constraint length K > 8 led to wrong output gr-fft thread safety of copy assignment/ctor log power FFT Python gr-filter variable_band_pass_filter GRC complex taps input RRC filter gain for alpha = 1 gr-qtgui Remove copies of image data in returns by using move semantics Remove bogus overriding in drawing functions of plot_raster, _waterfall Edit MSG box: don’t require key to be set Don’t check for Python2 libs Number Sink ignored averaging setting gr-uhd UHD apps: Py3 fixes USRP blocks: multichannel objects not properly populating channels gr-video-sdl YUV formats fixed gr-zeromq Don’t depend on deprecated ZMQ functionality Unhandled exceptions now handled, much calmer Avoid infinite blocking in tb.stop() by using ZMQ_LINGER @ text @d1 1 a1 1 @@comment $NetBSD$ d9 1 a9 1 lib/libgnuradio-video-sdl.so.3.9.1 @ 1.8 log @gnuradio-video-sdl: update to 3.8.1.0 @ text @a1 2 include/gnuradio/swig/video_sdl_swig.i include/gnuradio/swig/video_sdl_swig_doc.i d9 1 a9 1 lib/libgnuradio-video-sdl.so.3.8.1 d15 1 a15 4 ${PYSITELIB}/gnuradio/video_sdl/_video_sdl_swig.so ${PYSITELIB}/gnuradio/video_sdl/video_sdl_swig.py ${PYSITELIB}/gnuradio/video_sdl/video_sdl_swig.pyc ${PYSITELIB}/gnuradio/video_sdl/video_sdl_swig.pyo @ 1.7 log @Update gnuradio-* 3.7.6 to 3.7.8 @ text @d7 3 d11 1 d21 2 a22 2 share/gnuradio/grc/blocks/video_block_tree.xml share/gnuradio/grc/blocks/video_sdl_sink.xml @ 1.6 log @Convert back from PKGVERSION_NOREV to PKGVERSION in PLIST's. Thanks obache@@ for advice. @ text @d1 1 a1 1 @@comment $NetBSD: PLIST,v 1.5 2014/10/28 09:07:35 mef Exp $ d17 2 @ 1.5 log @Convert ${PKGVERSION} to ${PKGVERSION_NOREV} in PLIST's. The problem is hidden if PKGREVISION is empty. gnuradio-doxygen has something more to fix (sorry). @ text @d1 1 a1 1 @@comment $NetBSD: PLIST,v 1.4 2014/10/13 12:51:10 mef Exp $ d8 1 a8 1 lib/libgnuradio-video-sdl.so.${PKGVERSION_NOREV} @ 1.4 log @Update GNU Radio 3.3.0 (2010-09-15) to 3.7.5 See the thread for modularity issues etc: http://mail-index.netbsd.org/pkgsrc-users/2014/09/07/msg020346.html See more info at recent gnuradio-core/Makefile commit log, thanks. @ text @d1 1 a1 1 @@comment $NetBSD$ d8 1 a8 1 lib/libgnuradio-video-sdl.so.${PKGVERSION} @ 1.3 log @update gnuradio to version 3.3.0 build: refactor GR_GIT and GR_VERSION Make C++ shared libraries versioned howto: make versioned libraries Changes to gr_udp_{source,sink} for MinGW Ignore ENOPROTOOPT return from setsockopt(SO_LINGER) Use getaddrinfo in gr_udp_{source,sink} Discard data in gr_udp_sink until receiver is started. Updates to udp source/sink (select(), wait, cleanup) Merge branch 'master' into udp Rework UDP source and sink, with incompatible API changes Merge branch 'master' into udp Flush pending errors in gr_udp_sink on disconnect() Add additional conditionalization of networking includes Use -1 as file descriptor "not open" value instead of 0 Identify memory leaks that occur on error conditions Correct update of d_temp_offset (parallel construction) Move initialization of select timeout Defend against a peer that sends an invalid message length. Return immediately when using d_residual. Simplify USE_SELECT usage Add USRP2 clock source parameter to GRC blocks. grc: update UDP source and sink block wrappers gnuradio-core: allow swig to handle exceptions in UDP source/sink gnuradio-core: update copyrights libvrt: remove from 3.3 API. Merge remote branch 'gnuradio/wip/udp_source_sink' Fix erroneous file modes usrp2-firmware: fix missing files in tarball Merge remote branch 'ets/grc-usrp2-clock-source' howto: fix make check for win32, darwin (untested) Remove bogus check for existence of prefix directory. Update incorrectly checked in Makefile.am Add support for the Bitshark USRP RX (BURX) daughterboard for the USRP1. Add support for the Bitshark USRP RX (BURX) daughterboard for the USRP2. Fixed issue with with wrong Makefile.am files being copied Including bitshark_rx.h header file for USRP2 build Updated db_bitshark_rx.c to the proper version that includes the Once and for all, here is the properly updated Makefile.am for the apps Updated to allow BURX support to be built into standard txrx.bin usrp: Cleanup for merge of bitshark daughterboard code Change default bandwidth to 25 MHz to match maximum USRP2 bandwidth Merge branch 'master' into wip/burx_support Merge remote branch 'nldudok1/gr-wxgui_emulate_analog' into master gr-wxgui: Renamed "emulate analog" feature to "use persistence" gr-wxgui: update copyrights gnuradio-core: Disable (temporarily) interpolator tap calculation build: force use of ltmain.sh from libtool 2.2.6b build: use correct comment delimiter build: distribute version controlled ltmain.sh in tarball Merge remote branch 'bitshark/burx_support' into wip/burx_support Revert "build: force use of ltmain.sh from libtool 2.2.6b" Revert "build: distribute version controlled ltmain.sh in tarball" Merge branch 'wip/burx_support' gnuradio-core: removed gr.dd_mpsk_sync_cc block as obsolete grc: rename execution binary from 'grc' to 'gnuradio-companion' Update revision to release 3.3.0-rc1, update autotools Add analog CRT screen afterglow emulation for gr-wxgui @ text @d1 8 a8 5 @@comment $NetBSD: PLIST,v 1.2 2007/08/08 03:22:05 wulf Exp $ include/gnuradio/swig/video_sdl.i include/gnuradio/video_sdl_sink_s.h include/gnuradio/video_sdl_sink_uc.h lib/libgnuradio-video-sdl.la d10 7 a16 4 ${PYSITELIB}/gnuradio/_video_sdl.la ${PYSITELIB}/gnuradio/video_sdl.py ${PYSITELIB}/gnuradio/video_sdl.pyc ${PYSITELIB}/gnuradio/video_sdl.pyo @ 1.2 log @Updated gnuradio-video-sdl to 3.0.4: * Updated license to GPLv3 * Fixed shared memory leak on NetBSD * Many bug fixes @ text @d1 1 a1 1 @@comment $NetBSD: PLIST,v 1.1.1.1 2006/11/13 17:36:32 wulf Exp $ d5 2 @ 1.1 log @Initial revision @ text @d1 1 a1 1 @@comment $NetBSD$ d5 4 a8 4 lib/python2.4/site-packages/gnuradio/_video_sdl.la lib/python2.4/site-packages/gnuradio/video_sdl.py lib/python2.4/site-packages/gnuradio/video_sdl.pyc lib/python2.4/site-packages/gnuradio/video_sdl.pyo @ 1.1.1.1 log @Added new package gnuradio-video-sdl-3.0.2: SDL support for GNU Radio @ text @@